...As a QA Engineer, you will be at the forefront of ensuring a better healthcare experience for our patients. You will be responsible for contributing to the success of our QA Engineering team in Pune and unlocking th...
...and IT Consulting company providing innovative and efficient solutions to clients worldwide.
Role and Responsibilities
As a Cloud Architect at Techladder you will be responsible for managing and implementing t...
Position Description:
Exp: 4- 12 Yrs
· Perform physical design implementation, including floor planning, power grid design, place and route, clock tree synthesis, timing closure, power/signal integrity signoff, physical veri...
...commitment to customer success and an unbending will to win. For more information about how our FPGA , CPLD and programmable power management devices help our customers unlock their innovation, visit . You can...
...control systems such as GIT
REQUIRED SKILLS
Programming experience for general data communication over Ethernet and IP networks(e.g., w/sockets) is a plus, as is any experience programming in a Linux or VxWork...
...advantage.
Computer proficiency, excel, ppt knowledge
Having 3+ year of relevant experience in B2B, specialty ingredient, preferably F&F
Oral and written proficiency in English & fluency in local language (...
...Think creatively on using ASIC flow physical design in the construction of FPGA fabrics (traditionally highly custom design blocks)
Develop... ...-cases, and design considerations is a plus...
...tuning for implementation.
* Excellent debugging skills in implementation issues and ability to come up with creative solutions.
Physical Design ,Block level floorplanning,power planning,IR drop analysis
Des...
We are now looking for Hardware Engineers. We are looking for bright engineers to help us architect our next generation GPUs, CPU and SoCs meant to accelerate the performance of Machine Learning, Autonomous Driving, Ray Tracing and many more exciting...
• Candidate should have minimum 10 years’ experience in SAP including SuccessFactors, must have worked on 3 end to end implementations in SF Time Off and Time Sheet and Support projects
• Should have strong exposure on SF Time Off, Time Sheet and Tim...