Codersbrain technology pvt ltd
Location
Bangalore | India
Job description
Position Name : Physical Design Engineer Experience Required : 6 - 12 years Location : Bangalore, Hyderabad, coimbatore. Notice Period : Immediate - 15 days Engineers with strong RTL2GDSII Skill. Job responsibilities : - Include Logic Synthesis, Floor-planning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, Formal verification, VCLP, DRC/LVS clean-up and delivery of the Blocks or Subsystem to SOC level integration. - Desirable to have exposure in full chip implementation Tool Experience : - Design Complier, Fusion Complier/ICC-II, ICV, Conformal LEC, StarRCXT, Primetime, Candence Innovus Skills : - Physical design, fusion, icc, lec. Skills and Qualifications : - Bachelor's degree in Electrical Engineering, Computer Engineering, or related field. - Master's degree preferred. - 6+ years of experience in physical design with a focus on RTL to GDSII flow. - Proficiency in industry-standard physical design tools including Design Compiler, Fusion Compiler/ICC-II, ICV, Conformal LEC, StarRCXT, and Primetime. - Strong understanding of physical design methodologies, floor-planning, place and route, timing closure, and physical verification. - Experience with voltage-current layout optimization (VCLP), IR/EM analysis, and formal verification techniques. - Excellent problem-solving and analytical skills with attention to detail. - Ability to work effectively in a fast-paced, collaborative environment. Excellent communication and interpersonal skills. (ref:hirist.tech)
Job tags
Salary